Что такое техпроцесс (нм) процессора? Что такое технологический процесс процессора и на что он влияет Intel подтвердила подготовку Comet Lake: десятку ядер в массовом сегменте быть


]
Process Name
1st Production
Lithography Lithography
Immersion
Exposure
Wafer Type
Size
Transistor Type
Voltage
Fin Pitch
Width
Height
Gate Length (L g)
Contacted Gate Pitch (CPP)
Minimum Metal Pitch (MMP)
SRAM bitcell High-Perf (HP)
High-Density (HD)
Low-Voltage (LV)
DRAM bitcell eDRAM
Intel Samsung Alliance IBM (Now GlobalFoundries) UMC Common Platform Alliance
P1272 (CPU) / P1273 (SoC) 14LPE

1 st generation; 14 nm Low Power Early

, 14LPP

2 nd generation; 14 nm Low Power Performance

, 14LPC

3 rd generation; 14 nm Low Power Cost

, 14LPU

4 th generation; 14 nm Low Power Ultimate

14HP

14nm High Performance

14FDSOI
2014 2015 2017 2Q 2017
193 nm 193 nm 193 nm 193 nm 193 nm
Yes Yes Yes Yes Yes
SADP LELE SADP DP
Bulk Bulk SOI Bulk SOI
300 mm 300 mm 300 mm 300 mm 300 mm
FinFET FinFET FinFET FinFET Planar
0.70 V 0.80 V 0.80 V 0.80 V
Value 22 nm Δ Value 20 nm Δ Value 22 nm Δ Value 28 nm Δ Value 28 nm Δ
42 nm 0.70x 48 nm N/A 42 nm N/A N/A
8 nm 1.00x 8 nm 10 nm
42 nm 1.24x 37 nm 25 nm
20 nm 0.77x 30 nm 18-26 nm 0.72-0.79x 20 nm 0.71x
70 nm 0.78x 78 nm 1.22x 80 nm 0.80x 90 nm 0.79x
52 nm 0.65x 64 nm 1.00x 64 nm 0.80x 64 nm 0.71x
0.0706 µm² 0.54x 0.080 µm² 0.78x 0.0900 µm² 0.63x 0.090 µm² 0.59x
0.0499 µm² 0.54x 0.064 µm² 0.79x 0.0810 µm² 0.81x 0.081 µm² 0.68x
0.0588 µm² 0.54x
0.0174 µm² 0.67x

Composition [ edit ]

It"s important to note that not all processes compete with each other. The process should cater to the products that will make use of the underlying technology. The composition of the actual integrated circuit also varies by manufacturer and by design due to different goals. For example, the cache on Apple "s 14 nm (manufactured by Samsung) accounts almost 1/3 of the entire chip whereas Intel "s Broadwell cache accounts for only 10% of the entire chip. Likewise, Intel "s Broadwell and Skylake target high-performance and incorporate a large amount of higher-speed elements which are inherently sparse. Tall cells account for almost 30% Skylake"s composition and less than 1% on Apple"s or . Those numbers are somewhat expected given tall logic cells are generally optimized for performance and high frequency (e.g., high-switching circuitry in the CPU) whereas short cells are optimized for density (e.g., GPU shader arrays).

A third improved process, "14nm++", is set to begin in late 2017 and will further allow for +23-24% higher drive current for 52% less power vs the original 14nm process. The 14nm++ process also appear to have slightly relaxed poly pitch of 84 nm (from 70 nm). It"s unknown what impact, if any, this will have on the density.

IBM [ edit ]

IBM developed their own "14HP" (14nm High-Performance) process at their East Fishkill, NY plant. Note that the plant AND the process, along with numerous semiconductor technology IPs, were sold to GlobalFoundries in late 2014. GF still operates the plant (also by ex-IBM semiconductor engineers) and the process which is used by IBM for their various processors. This process was designed by IBM for their very large chips with effective power supply and clock distribution capable of producing dies as large as 700 mm² and larger with a hierarchical BEOL of 17 levels of copper interconnect for high performance wire-ability. It should be noted that GlobalFoundries had no such capabilities prior to their acquisition of IBM"s plant, semiconductor manufacturing group, and IP portfolio.

UMC [ edit ]

UMC announced the start of 14nm process mass production in February 2017. The 14nm process is their first process to use FinFET, and provides up to 55% higher performance and twice the gate density compared to their 28nm process.

Рассказываем об одной из главной характеристик мобильных чипсетов.

Процессор современного смартфона - сложный механизм, включающий в себя тысячи компонентов. Такие показатели, как частота и количество ядер, постепенно теряют смысл, а на смену им приходит понятие техпроцесса, характеризующее производительность и энергоэффективность процессора.

Что такое техпроцесс?

Процессор включает в себя тысячи транзисторов, которые пропускают или блокируют электрический ток, что позволяет логическим схемам работать в двоичной системе. Благодаря уменьшению размер транзисторов и расстояния между ними производители добиваются от чипсета большей продуктивности.

Уменьшенные транзисторы потребляют меньше энергии, при этом не утрачивая и производительность. Несмотря на то, что размер транзисторов напрямую не влияет на мощность, этот параметр стоит рассматривать как одну из характеристик, оказывающих влияние на скорость выполнения задач за счет конструктивных изменений в работе устройства. Размер транзистора по сути и характеризует техпроцесс процессоров.

За счет уменьшения расстояния между компонентами процессора уменьшается и объем энергии, которая необходима для их взаимодействия. Благодаря этому чипы с меньшим техпроцессом показывают большую автономность по сравнению с чипами с большим показателем технологического процесса. В отличие от большинства параметров смартфона, чем меньше число, характеризующее техпроцесс, тем лучше. В нашем случае это нанометры (нм).

Развитие техпроцесса в смартфонах

В первом Android-смартфоне HTC Dream (2008 год) процессор работал на 65-нм чипсете. В сегодняшних среднебюджетных моделях этот параметр варьируется в пределах 28-14 нм. Флагманские и игровые смартфоны часто оснащены 14 и даже 10-нм процессорами, поэтому они мощные, энергоэффективные и в меньшей степени подвержены нагреванию. Учитывая, что развитие технологий нацелено на машинное обучение и искусственный интеллект, для достижения новых высот в производительности техпроцесс с большой вероятностью будет уменьшен до 5, а потом и до 1 нм.

Выбирая смартфон, важно отталкиваться не только от количества ядер и тактовой частоты, но и обращать внимание на техпроцесс. Именно этот параметр косвенно укажет на актуальность чипсета, производительность, склонность к перегреву и автономность. На сегодняшний день устройства в среднем ценовом сегменте уже оснащены 14-нм процессорами, что на данный момент можно назвать актуальным и сбалансированным решением для любого современного смартфона.

Первые тесты Core i5-10210U поколения Comet Lake-U: слегка быстрее актуальных чипов

Мобильный процессор Intel Core i5-10210U следующего, десятого поколения был упомянут в базах данных тестов производительности Geekbench и GFXBench. Данный чип относится к семейству Comet Lake-U, хотя один из тестов приписал его к актуальным Whiskey Lake-U. Новинка будет выпускаться по старому-доброму 14-нм техпроцессу, возможно, с некоторыми очередными улучшениями.

Процессор Core i5-10210U располагает четырьмя ядрами и восемью потоками и укладывается в традиционный для чипов U-серии TDP в 15 Вт. Согласно тесту Geekbench, тактовая частота процессора составила 2,2 ГГц, хотя по неофициальным данным его базовая частота будет равна 1,6 ГГц, а в режиме Turbo он сможет разгоняться вплоть до 4,2 ГГц. Для сравнения, у нынешних моделей Core i5 семейства Whiskey Lake-U базовая частота такая же, 1,6 ГГц, а в режиме Turbo она может достигать 4,1 ГГц. Собственно, у Comet Lake-U будет не так много отличий от актуальных моделей мобильных чипов Intel.

Что касается результатов тестов, то здесь также нет ничего выдающегося. Бенчмарк Geekbench оценил производительность одного ядра Core i5-10210U в 3944 балла, а производительность в многоядерном режиме оценена в 12 743 балла. Сопоставимый результат в одноядерном тесте характерен для гибридного процессора Ryzen 5 2400G, тогда как производительность всех ядер сопоставима с Core i7-8550U поколения Kaby Lake Refresh.

Что касается GFXBench 5.0, то здесь Core i5-10210U также не показал ничего выдающегося. Встроенная графика данного процессора оказалась лишь слегка производительнее «встройки» Intel UHD Graphics 620 в процессоре Core i5-8265U поколения Whiskey Lake, а в некоторых тестах новинка оказалась даже слабее. Собственно, тут нет ничего удивительного, ведь процессоры Comet Lake получат всё ту же встроенную графику 9-го поколения (Gen9).

Кажется, дефицит процессоров Intel подходит к концу

Дефицит процессоров Intel, который мучает рынок уже на протяжении нескольких месяцев, судя по всему, в скором времени начнёт ослабевать. В прошлом году Intel инвестировала дополнительные 1,5 млрд долларов в расширение своих 14-нм технологических мощностей, и похоже, что эти экстренные меры наконец-то дадут видимый эффект. По крайней мере, в июне компания собирается возобновить поставки процессоров начального уровня производителям ноутбуков второго эшелона. До сих пор эти клиенты были практически полностью отрезаны от возможностей закупки таких чипов, но теперь Intel снова начинает принимать от них заказы.

Схема работы Intel в условиях дефицита заключалась в том, что компания отдавала приоритет поставкам высокомаржинальных продуктов и удовлетворению запросов крупных клиентов вроде Dell, HP и Lenovo. Поэтому производители второго эшелона не имели возможности закупать бюджетные процессоры Intel и были вынуждены либо ждать, либо переориентировать свои недорогие модели ноутбуков на платформу компании AMD. Теперь же ситуация меняется: с июня процессоры Intel начального уровня станут доступны и для клиентов, которых компания не относит к числу приоритетных. Об этом микропроцессорный гигант официально проинформировал всех своих партнёров.

Впрочем, это вовсе не означает, что дефицит вот-вот закончится. Об удовлетворении запросов клиентов в полном объёме речь пока не идёт, но положение дел с поставками должно определённо улучшиться. Об этом прямо говорил руководитель Intel Роберт Свон (Robert Swan) во время квартального отчёта: «Мы расширили производство для улучшения ситуации во втором полугодии, однако некоторые проблемы с ассортиментом продукции по-прежнему сохранятся и в третьем квартале, хотя мы и попытаемся согласовать доступные предложения с запросами наших клиентов ».

Помимо расширения 14-нм производственных мощностей в Орегоне, Аризоне, Ирландии и Израиле определённое ослабление дефицита должно произойти и благодаря тому, что Intel начала отгрузки 10-нм процессоров Ice Lake, которые в первую очередь как раз и будут направлены на мобильный сегмент. Их выпуск стартовал в первом квартале, и первые модели ноутбуков на их основе ведущие производители должны будут представить в середине года. В рамках квартального отчёта Intel сообщила, что объёмы производства 10-нм процессоров превышают запланированные, и это значит, что часть клиентов Intel сможет без каких-либо проблем переориентироваться на более прогрессивные чипы, снизив закупки процессоров, производимых по 14-нм технологии.

Известие о предстоящем увеличении поставок недорогих 14-нм процессоров партнёры Intel восприняли с большим энтузиазмом. Первый квартал для многих производителей ноутбуков из-за недопоставок чипов был сопряжён с существенным падением продаж. Теперь же производители рассчитывают наверстать упущенное. Тем более, что недавние анонсы новых мобильных процессоров Core девятого поколения и мобильных графических ускорителей GeForce RTX 2060, GTX 1660 Ti и GTX 1650 должны подогреть потребительский спрос на мобильные компьютеры.

Не так давно стало известно, что компания Intel готовит ещё одно поколение 14-нм настольных процессоров, которое будет называться Comet Lake. И теперь ресурс ComputerBase выяснил, когда можно ожидать появления данных процессоров, а также новых чипов Atom семейства Elkhart Lake.

Источником утечки является «дорожная карта» компании MiTAC, специализирующейся на встраиваемых системах и решениях. Согласно представленным данным, этот производитель планирует предложить свои решения на процессорах Atom поколения Elkhart Lake в первом квартале 2020 года. А продукты на базе чипов Comet Lake выйдут несколько позже: во втором квартале будущего года.

Конечно, тут важно помнить, что встраиваемые системы на основе тех или иных процессоров появляются отнюдь не сразу после релиза чипов. Особенно это касается процессоров серии Core, которые изначально дебютируют в рознице как самостоятельные продукты и в составе систем от крупных OEM-производителей.

Так что появление встраиваемых решений на базе процессоров Comet Lake во втором квартале 2020 года говорит нам лишь о том, что представлены новинки будут несколько раньше. В последние годы Intel представляет свои новые настольные процессоры в октябре, и весьма вероятно, что в случае с Comet Lake ситуация повторится. Обычно сначала Intel представляет лишь старшие модели процессоров, а спустя некоторое время семейство расширяется и другими чипами.

Что касается процессоров Atom поколения Elkhart Lake, то они должны в некотором роде возродить бренд Atom, который в последние годы переживает не лучшие времена. По предварительным данным, эти процессоры будут производиться по 10-нм техпроцессу, так что их выхода до конца текущего года ждать не стоит. А вот первый квартал 2020 года выглядит вполне реальным временным промежутком для их запуска. Напомним, что первыми 10-нм процессорами компании Intel, не считая «пробные» Cannon Lake, должны стать мобильные процессоры Ice Lake-U, которые могут выйти в самом конце этого или начале следующего года.

Ещё один китайский производитель готовит 14-нм техпроцесс FinFET

В этом году первый китайский производитель чипов в лице крупнейшего местного контрактника компании SMIC к выпуску чипов с технологическими нормами 14 нм и вертикальными транзисторами FinFET. Вторым китайским производителем чипов, который тоже освоит 14-нм технологические нормы и транзисторы FinFET, станет шанхайская компания Huali Microelectronics (HLMC). Об этом в четверг на открытии выставки SEMICON China 2019 сообщил вице-президент HLMC по исследованиям и разработкам Шао Хуа (Shao Hua).

Внедрение в производство 14-нм техпроцесса, разработанного в Китае, компания Huali Microelectronics намечает в конце 2020 года. В активе компании 28-нм техпроцесс HKC и готовится к внедрению в производство в конце текущего года техпроцесс 28 нм HKC+. Оба техпроцесса сродни известным нам по техпроцессам TSMC, GlobalFoundries, Samsung и Intel под аббревиатурой HKMG (изолятор с высоким значением диэлектрической константы с напылением металлических затворов транзисторов). Техпроцесс 28 нм HKC относится к выпуску производительных чипов, а 28 нм HKC+ позволяет выпускать решения со сверхнизким потреблением. Компании Huali, кстати, приписывают производство 28-нм x86-совместимых процессоров компании (реализация и продолжение разработок тайваньской VIA Technologies).

Действующее производственное предприятие Huali Microelectronics по обработке 300-мм кремниевых пластин (завод Huahong No. 5) способно ежемесячно обрабатывать 35 000 пластин. Поддерживаемые техпроцессы: 55, 40 и 28 нм. В октябре прошлого года компания ввела в строй свой второй современный завод с проектной мощностью 40 000 300-мм пластин в месяц. На строительство и оснащение предприятия ушло почти два года. Пока это производство может ежемесячно обрабатывать по 10 000 пластин с 28-нм чипами, но в последующем именно на нём будет внедрён выпуск 14-нм решений.

Intel подтвердила подготовку Comet Lake: десятку ядер в массовом сегменте быть!

Уже довольно давно циркулируют слухи, что компания Intel до 10-нм процессоров Ice Lake выпустит ещё одно семейство 14-нм процессоров, которое будет называться Comet Lake. И теперь эти слухи косвенно подтвердила сама Intel: упоминание о Comet Lake обнаружилось в новых графических драйверах для Linux.

Согласно записям в коде драйверов, новые процессоры Comet Lake будут оснащены встроенной графикой Intel девятого поколения (Gen9) в версиях GT1 и GT2, как и все прежние 14-нм процессоры Intel. Это подтвердили и некоторые представители Intel, которые указали, что процессоры Comet Lake будут представлять собой обновление Coffee Lake Refresh. То есть, фактически, нас ждёт «рефреш рефреша» Coffee Lake. Или другими словами, Comet Lake — это очередная реинкарнация архитектуры Skylake, увидевшей свет пять лет тому назад.

Однако представители семейства Comet Lake будут отличаться от Coffee Lake Refresh не более высокими тактовыми частотами, как можно было подумать, а большим числом вычислительных ядер. Частоту в рамках 14-нм техпроцесса Intel, пожалуй, уже увеличила максимально. А вот добавить несколько ядер для инженеров компании не составит большого труда.

Исходя из информации, содержащейся в файлах драйверов, мобильные процессоры Comet Lake-U будут предлагать четыре или шесть вычислительных ядер, тогда как актуальные Whiskey Lake-U имеют только четыре ядра. И скорее всего, Intel традиционно представит такие мобильные чипы раньше других представителей нового поколения.

Вместе с этим Intel готовит производительные мобильные процессоры Comet Lake-H и настольные чипы для массового сегмента Comet Lake-S. В обоих сериях будут доступны как шестиядерные представители, так и модели с десятью ядрами. Также в коде драйверов упоминаются и восьмиядерные мобильные чипы Comet Lake-H. Заметим, что в Сети уже давно ходили слухи о том, что Intel может выпустить 10-ядерный процессор для массового сегмента рынка. И теперь они подтвердились, а в придачу оказалось, что подобные CPU могут появиться и в мобильных компьютерах.

Интересно, что компания Intel добавляет по два ядра уже в третьем поколении настольных процессоров подряд. После долгого периода господства четырёхъядерных чипов, Intel сначала выпустила шестиядерные Coffee Lake, затем восьмиядерные Coffee Lake Refresh, а теперь нам предложат 10-ядерные Comet Lake. Интересно, почему производитель не делал так раньше?

Очевидно, что главным источником мотивации здесь стала компания AMD, которая и не думает останавливаться на достигнутом. В этом году AMD выпустит 7-нм процессоры Ryzen 3000, в которых по слухам будет до 12 или даже 16 ядер. Более того, эти чипы будут выполнены по 7-нм техпроцессу, так что в плане производственных норм «красные» окажутся впереди «синих».

Дефицит процессоров Intel вновь усилится во втором квартале

Несмотря на все принятые меры, изменения ситуации с дефицитом процессоров Intel в ближайшем будущем не предвидится. Хотя казалось, что пик недопоставок был пройден в конце прошлого года, когда наблюдался сезонный рост спроса, исследование Digitimes Research говорит об обратном. Аналитики предполагают, что во втором квартале рынок захлестнёт вторая волна дефицита 14-нм чипов Intel, и это станет прекрасным моментом для того, чтобы AMD смогла дополнительно нарастить свою долю.

Тяжелая ситуация с поставками процессоров компании Intel наблюдается с августа прошлого года. Из-за ошибок планирования и задержки с вводом в строй 10-нм техпроцесса микропроцессорный гигант оказался не в состоянии выполнять заказы партнёров в полном объёме. Как сообщает Digitimes , даже такие крупные клиенты Intel, как HP, Dell и Lenovo, не получают необходимого количества процессоров, причём недопоставки достигают уже сотен тысяч чипов. Во время первой волны дефицита в третьем квартале прошлого года заказы ведущих партнёров Intel выполнялись менее чем на 95 %, в то время как тайваньские производители компьютеров получали не более 90 % заказанных процессоров.

Сейчас ситуация с поставками процессоров Intel стала получше. Как утверждают аналитики, запросы в первом квартале 2019 года удовлетворяются примерно на 97 %. Однако не стоит думать, что это — предвестник решения проблем. Надвигается вторая волна дефицита, которая будет обусловлена существенным ростом спроса на хромбуки. Стратегия Intel на время дефицита заключается в том, что компания старается в первую очередь удовлетворять заказы на дорогие и наиболее маржинальные процессоры из модельного ряда, однако для хромбуков потребуется большое количество бюджетных чипов класса Core i3 и младше, которые, очевидно, имеют шанс пропасть из продажи чуть ли не полностью. Как утверждается в источнике, Intel уже сейчас стала полностью отказывать в поставках процессоров начального уровня Apollo и Gemini Lake китайским производителям.

Всё это говорит о том, что и в 2019 году у компании AMD есть отличная возможность для дальнейшего увеличения своей доли. Прошлая волна дефицита позволила AMD нарастить свою долю на рынке ноутбуков с 9,8 % в начале 2018 года до текущих 15,8 %. Теперь же, кажется, у компании появился второй шанс. Как говорят прогнозы, во втором квартале текущего года доля AMD на рынке мобильных процессоров может вырасти до 18 %.

Тем не менее, к середине года Intel наконец-то должна решить все свои проблемы с поставками 14-нм процессоров. В прошлом году микропроцессорный гигант делал существенные вложения в расширение производства. Несколько миллиардов долларов было инвестировано в фабрику D1X в Орегоне, а также в фабрики, находящиеся в Израиле и Ирландии. Поэтому к лету выход 14-нм кристаллов должен увеличиться примерно на четверть, что должно с запасом покрыть все существующие запросы партнёров.

Кроме того, со второй половины года Intel также намеревается начать массовые поставки перспективных 10-нм процессоров. Однако аналитики Digitimes отмечают, что с этими планами не всё складывается гладко. По слухам, микропроцессорный гигант пока не смог решить все имеющиеся проблемы со следующим техпроцессом, и это вполне может стать причиной очередных задержек.

Intel приступает к существенному расширению производственных мощностей

Этим летом компания Intel столкнулась с настолько серьёзным ростом спроса на свою продукцию, что у неё возникли проблемы с обеспечением запросов клиентов. Как следует из заявлений первых лиц, возросшей популярностью стали пользоваться процессоры Xeon для дата-центров, а также производительные процессоры Core для потребительского рынка. В результате, на рынке возник дефицит, влияние которого ощущается до сих пор и будет ощущаться в течение первых кварталов следующего года.

Для ликвидации дефицита Intel уже направила дополнительные $1,5 млрд в расширение имеющихся производственных мощностей, однако, судя по всему, теперь компания решила пойти на более системные шаги. Вчера старший вице-президент компании и генеральный менеджер по производственным операциям, доктор Энн Б. Келлехер (Dr. Ann B. Kelleher), объявила, что Intel начинает обширную кампанию по глобальному расширению производственных мощностей по всему миру. И первым приоритетом для Intel станет увеличение выпуска 14-нм продукции с помощью установки соответствующего оборудования на заводе Fab 42.

Строительство Fab 42 было начато в 2011 году в городе Чандлер, штат Аризона. Объект был практически завершён к 2014 году, но затем его заморозили, поскольку продажи ПК начали снижаться. Тем не менее, в прошлом году Intel инвестировала в достройку этого завода $7 млрд, а теперь госпожа Келлехер заявила, что Intel «в соответствии с установленными планами достигла значительного прогресса в запуске производства на Fab 42 в Аризоне ». Ожидается что, когда эта фабрика заработает на полную мощность, она сможет выпускать продукцию по технологическим процессам с нормами 22 и 14 нм, а также на ней будет запущен и перспективный 7-нм технологический процесс.

Кроме того, Intel начнёт использовать свои мощности в Нью-Мексико для создания памяти и решений для хранения данных будущих поколений. В дополнение к этому компания приступила к проектным работам по расширению имеющихся фабрик в Орегоне, Израиле и Ирландии. Строительно-монтажные работы на этих заводах начнутся в следующем году и, вероятно, будут продолжаться в течение нескольких последующих лет.

Таким образом, Intel собирается и в дальнейшем полагаться главным образом на собственное полупроводниковое производство. «Мы продолжим использование собственных фабрик для разных технологий, если это имеет смысл для бизнеса », - добавила доктор Энн Б. Келлехер: «Поскольку мы предлагаем всё больше продуктов для более широкого круга клиентов, вы можете ожидать от нас стратегического подхода к применению различных производственных технологий и селективного задействования фабрик ».

Intel надеется, что благодаря затеянным обновлениям и расширениям производственных мощностей, проблема с дефицитом продукции будет решена раз и навсегда, и компания не только будет быстро реагировать на изменения спроса, но и сможет полностью удовлетворить свои потребности на растущем 300-миллиардном рынке кремниевой продукции. С учётом того, что Intel пытается диверсифицировать спектр поставляемой продукции и превратиться в компанию, построенную вокруг обработки данных, ёмкое и современное кремниевое производство может сыграть решающую роль. Помимо выпуска чипов для ПК, сегодня Intel предлагает широкий ассортимент серверных процессоров, выпускает сотовые модемы, производит флеш-память и инновационную память 3D XPoint, а также в ближайшее время планирует выйти на рынок дискретной графики. Вполне очевидно, что при сохранении желания пользоваться собственными полупроводниковыми фабриками, растущие амбиции Intel нуждаются в наращивании производственных мощностей.

В рамках борьбы с дефицитом Intel представила 22-нм чипсет B365 Express

Компания Intel представила новую системную логику B365 Express для настольных компьютеров, которая станет промежуточным звеном между чипсетами B360 Express и H370 Express. Эта модель выпущена по переносу части своих чипсетов на старые 22-нм нормы HKMG+, чтобы высвободить дефицитные мощности 14 нм++ для более дорогих кристаллов — прежде всего, центральных процессоров.

Несмотря на это, TDP чипсета остаётся неизменным: на уровне 6 Вт. Однако в B365 есть несколько дополнительных функций и упрощений по сравнению с B360. Начнём с того, что он поддерживает 20 линий PCI-Express 3.0, как более продвинутая модель H370 Express. B360 оснащается только 12-ю линиями PCIe 3.0. Это означает, что материнские платы B365 могут получить дополнительные разъёмы формата M.2 и U.2.

С другой стороны, страница характеристик B365 Express указывает, что новому чипсету не хватает встроенного контроллера USB 3.1 10 Гбит/с. Возможно, расширение линий PCIe понадобилось производителям материнских плат, чтобы использовать сторонние контроллеры USB 3.1 10 Гбит/с. Впрочем, системная логика по-прежнему позволяет размещать на плате до 8 портов USB 3.0 5 Гбит/с (стоит обратить внимание, что это не USB 3.1 5 Гбит/c, так что на функции скоростной зарядки можно не рассчитывать).

Чипсет также потерял встроенный модуль Wireless AC для упрощённой реализации беспроводной связи. Все это указывает на то, что B365 Express может выступать просто переименованным Z170 с заблокированной функцией разгона процессора. Подтверждением этой теории может служить и то, что B360 использует прошивку ME 12-й версии, а B365 более старую ME 11. Так же, как H310C, B365 может иметь поддержку платформы Windows 7.

Intel готовит 10-ядерные «народные» процессоры Comet Lake-S

Компания Intel, похоже, хочет ещё больше увеличить число ядер в процессорах для массового сегмента рынка. Как сообщает ресурс WCCFTech со ссылкой на один тайваньский форум, компания Intel планирует в следующем году представить в новом семействе процессоров Comet Lake модель, обладающую 10 ядрами.

О том, что компания Intel планирует в следующем году выпустить очередное семейство 14-нм процессоров под названием Comet Lake, стало известно ещё в начале лета этого года. Теперь же источник сообщает, что на некой встрече в Intel было упомянуто, что в семействе настольных процессоров Comet Lake-S будет присутствовать по меньшей мере одна модель, располагающая 10 ядрами.

Упоминается также, что новые процессоры могут использовать две кольцевые шины с коммутатором. На данный момент Intel выигрывает у конкурентной AMD за счёт низкой латентности межъядерного взаимодействия. Но использование коммутатора ухудшит этот показатель. Такое решение выглядит ещё более странным на фоне того, что одиночная кольцевая шина способна работать с десятью и даже большим количеством ядер.

Также стоит заметить, что охлаждение процессора с десятком ядер может стать довольно сложной задачей. Особенно на фоне того, как непросто обеспечить достойное охлаждение нынешним восьмиядерным процессорам Intel Coffee Lake Refresh. А поскольку будущие Comet Lake-S будут производиться по всё тому же 14-нм техпроцессу и базовая архитектура чипа останется прежней, то два «лишних» ядра не лучшим образом повлияют на тепловыделение процессора. Хотя Intel всегда может понизить частоты.

К сожалению, каких-либо подробностей о производительности, равно как и о ценах будущих 10-ядерных процессоров Intel пока что нет. Можно с уверенностью сказать лишь очевидную вещь: в многопоточных задачах новинки будут быстрее любых других массовых процессоров Intel, а вот в производительность одного потока вряд ли повысится. Также заметим, что даже рекомендованная цена новинок может оказаться на уровне $550-600.

В 2019 году в Китае начнёт внедряться «национальный» 14-нм FinFET техпроцесс

Локомотивом разработки и внедрения в Китае национальных техпроцессов является крупнейший в этой стране контрактный производитель полупроводников Semiconductor Manufacturing International (SMIC). Не всё у него идёт гладко, но в свете тайваньской компании UMC от разработки техпроцессов с нормами менее 14 нм SMIC получила шанс обогнать ближайшего к себе тайваньского конкурента как по технологичности, так и по объёмам выручки.

На последней отчётной конференции руководство SMIC подтвердило , что производитель начнёт рисковое производство с нормами 14 нм и транзисторами FinFET в первой половине 2019 года. Это на два года позже запуска 14-нм техпроцесса на линиях UMC, но дальше тайваньский производитель не пойдёт, чего не скажешь о намерениях китайцев.

В настоящий момент SMIC на практике обкатывает техпроцесс с нормами 28 нм (HKC+). В третьем квартале 2018 календарного года выручка от выполнения 28-нм заказов принесла SMIC 7,1 % от общей выручки. Впрочем, год назад и кварталом ранее техпроцесс 28 нм принёс компании чуть больше: сокращение составило, соответственно, 8,8 % и 8,6 %. Зато техпроцесс 40/45 нм стабильно приносит SMIC в районе 19 % от общей выручки.

Основной продукцией компании являются дактилоскопические датчики и контроллеры, чипы для беспроводных платформ и электроника по управлению питанием устройств. Интересно отметить, что 33 % объёма выручки китайский контрактник получает от выполнения заказов от компаний из США. Китайские клиенты в третьем квартале принесли SMIC 57,9 % от совокупной выручки. Год назад эта доля составляла 45,7 %, а во втором квартале 2018 года — 58,6 %.

В четвёртом квартале производитель ожидает последовательного снижения квартальной выручки на 7-9 %. В первом квартале 2019 года компания также ждёт непростых времён, в чём будет повинен также сезонный фактор. Спрос со стороны клиентов и рынка SMIC рассчитывает увидеть со второго квартала нового года. Как бы Китай ни обвиняли в протекционизме, SMIC сама крутится, как может (хотя помощи тоже нельзя отрицать). Получается средне, но иным не снилось даже такое.

Intel поручила TSMC производство чипсетов и младших процессоров

Как известно, уже несколько месяцев на рынке наблюдается дефицит процессоров Intel. Вызван он некоторыми трудностями с производством по 14-нм техпроцессу, возникшими у компании. Поэтому, чтобы справиться с кризисом, компания Intel вынуждена обратиться к контрактным литографическим производителям, сообщает авторитетный ресурс DigiTimes.

На данный момент все собственные мощности Intel заняты производством 14-нм продукции, однако процессоров всё равно не хватает и спрос продолжает превышать предложение. В сентябре появилась информация, что Intel рассматривает возможности производства своей продукции на сторонних мощностях. И теперь источник получил подтверждение этой информации.

Сообщается, что компания Intel поручила производство своих младших 14-нм процессоров, а также наборов микросхем системной логики тайваньской компании TSMC. Этот контрактный производитель займётся созданием кристаллов для процессоров серий Atom, Celeron и Pentium Silver. Свои старшие решения серий Core и Xeon компания Intel будет производить самостоятельно.

Отметим, что источник прямо не указывает на то, что именно TSMC получила контракт Intel. Однако уточняется, что лишь производственные линии тайваньского производителя соответствуют требованиям Intel в данный момент.

Novatech: дефицит процессоров Intel затронет и 9-е поколение чипов Core i

Британский производитель компьютеров Novatech предупредил, что дефицит процессоров Intel окажет заметное влияние на предстоящий запуск девятого поколения чипов семейства Core i. В предостережении, разосланном клиентам компании в четверг, Novatech сообщила, что дефицит вызван высоким спросом на процессоры Xeon для центров обработки данных, поставкам которых Intel отдаёт приоритет над обслуживанием потребительского рынка и сектора ПК для бизнеса. Это логично, ведь серверный сектор приносит, как правило, более высокий уровень прибыли.

«В настоящее время наблюдается острый дефицит процессоров Intel , — отметила Novatech в заметке . — Рост спроса на процессоры Intel является результатом того, что центры обработки данных требуют больше чипов Xeon , перегружая производственные мощности и приводя к серьёзному складскому голоду для некоторых важнейших продуктов. В настоящее время полупроводниковые заводы Intel полностью загружены .

Отсутствие достаточных объёмов поставок вызвало рост цен в последние две недели. Спекулянты скупают процессоры на веб-сайтах и на всех доступных площадках, чтобы извлечь выгоду из создавшейся рыночной ситуации и удовлетворить спрос тех клиентов, для которых рост стоимости не является большой проблемой. В результате этого обычные потребители и бизнес-клиенты сталкиваются с дороговизной CPU .

В настоящее время нет признаков улучшения ситуации, и мы считаем, что дефицит процессоров Intel будет наблюдаться всю оставшуюся часть года. Весьма ожидаемый запуск 9-го поколения Core i также будет затронут этой проблемой, и на рынке будет наблюдаться дефицит новых чипов. Ситуация улучшится самое раннее лишь с началом 2019 года».

Тем не менее, проблемы Intel на рынке ПК вполне могут обернуться прекрасными возможностями для наращивания своей доли рынка компанией AMD. По мнению ряда аналитиков, компания по результату такой ситуации вполне может захватить 30-процентную долю рынка уже в последней четверти текущего года.

Наращивание присутствия AMD частично связано и с её конкурентоспособной архитектурой Zen, которая помогла сделать процессоры Ryzen популярной альтернативой Intel. Компания в настоящее время постепенно уходит от GlobalFoundries (которая предпочла не вкладываться в освоение 7-нм норм) в пользу выпуска CPU и GPU на мощностях TSMC. Успехи последней в области передового производства помогут AMD впервые за много лет обогнать Intel в технологическом плане. В следующем году ожидается выход 7-нм настольных процессоров на базе архитектуры Zen 2. В то же время Intel в течение ряда лет топчется на месте и, возможно, даже в 2019 году не сможет обеспечить рынок массовыми поставками своих 10-нм чипов.

Intel вернулась к 22-нм нормам при производстве новых чипсетов

Ресурс Tom’s Hardware, ссылаясь на несколько своих источников, сообщает, что Intel печатает свой новый чипсет H310C на 22-нм техпроцессе. Это означает, что производитель чипов сделал шаг назад и решил использовать более старые нормы для выпуска H310C — очевидно, это связано с попытками побороть острую нехватку 14-нм мощностей, приводящую к дефициту процессоров. Ресурс Digitimes ранее , что Intel планирует передать часть производства 14-нм чипсетов компании TSMC, но пока, видимо, найдено иное временное решение.

Такие изменения в стратегии Intel происходят из-за хронических задержек запуска массового 10-нм производства. Теперь компания сталкивается со всё более настойчивыми заявлениями и сообщениями производителей и аналитиков, что дефицит 14-нм процессоров Intel влияет на продажи серверных, настольных и мобильных чипов.

Вызывающее опасение отсутствие материнских плат с чипсетом H310, начавшееся в марте, стало первым признаком надвигающейся нехватки 14-нм мощностей Intel. В мае появились сообщения о том, что Intel приостановила выпуск чипсета, а в июле компания наконец признала гораздо более крупную проблему с 14-нм производством.

Intel, как правило, выпускает чипсеты с соблюдением более старых по сравнению с процессорами норм. Однако длительная задержка в освоении 10-нм производства привела к тому, что компания стала печатать как системную логику, так и CPU на 14-нм мощностях. Такой подход усугубил дефицит, связанный с текущим высоким спросом на 14-нм процессоры, очередной задержкой 10-нм норм и рядом других причин.

В прошлом месяце появилось сообщение о новой системной логике H310C. Просочившиеся изображения H310C на mydrivers.com показали, что размеры чипсета составляют 10 × 7 мм, намного больше, чем 8,5 × 6,5 мм у 14-нм H310. Конечно, увеличение физического размера само по себе не говорит о том, что Intel решила воспользоваться устаревшими производственными мощностями, но целый ряд источников, к которым обратились журналисты, подтвердили ситуацию.

Intel на заданный ей прямой вопрос ответила, что не комментирует невыпущенные продукты. Однако материнские платы с новым чипсетом уже отгружаются в цепочки поставок — это означает, что Intel скоро опубликует официальную спецификацию и, по-видимому, подтвердит циркулирующие слухи.

Источники сообщают, что обычные материнские платы на базе H310 будут по-прежнему продаваться в торговых точках, но постепенно будут полностью вытеснены 22-нм продуктами, которые поступят на рынок под маркой H310C или H310 R2.0. Новые чипсеты также на уровне драйверов материнской платы.

Необходимость выпуска 14-нм чипсетов усугубляют проблемы с 14-нм мощностями Intel. На каждый процессор необходимо предоставить системную логику, поэтому снижение данной производственной нагрузки позволило бы Intel расширить выпуск 14-нм процессоров Coffee Lake. Для Intel имеет смысл вернуться к 22-нм процессу для чипсетов, где производительность и энергопотребление не так важны, а прибыль от продажи кристаллов мала.

В конце августа азиатский ресурс Digitimes руководителей Acer и Compal Electronics, которые рассказали о существенном влиянии ситуации на цепочки поставок и прогнозировали ухудшение к концу года. С тех пор положение на мировом рынке действительно . , что дефицит процессоров Intel отражается на рынке оперативной памяти: цены на эту продукцию начали неожиданно снижаться. Согласно прогнозам аналитиков из J.P. Morgan, дефицит чипов Intel ПК в последнем квартале текущего года на внушительные 5-7 %.

Вполне возможно, в рамках направленных против дефицита мер Intel переместит другие чипсеты и некоторые иные малорентабельные чипы обратно на 22-нм нормы или действительно обратится к услугам внешних производителей вроде TSMC для печати таких решений.

Intel может передать часть производства 14-нм чипов внешней компании

В удивительное время мы живём. Многолетний лидер в области технологий полупроводникового производства, Intel, уже пятый год не может освоить массовую печать по передовым литографическим нормам, а конкуренты в то же время идут вперёд. Вдобавок компания из-за проблем планирования и очередной задержки 10-нм норм не в состоянии обеспечить спрос на свою 14-нм продукцию.

Теперь, как сообщает тайваньский ресурс Digitimes, ссылаясь на отраслевые источники, создавшийся сильный дефицит своих 14-нм мощностей Intel собирается решать при помощи передачи части производства своих 14-нм чипов тайваньской TSMC. Чтобы уделить первоочередное внимание высокодоходным продуктам, главным образом серверным процессорам и системной логике, Intel якобы планирует передать на аутсорсинг производство настольных чипсетов начального уровня вроде H310 и нескольких других 300-й серии. Если это так, то, возможно, TSMC будет производить их с соблюдением своих 10-нм норм.

По словам источников, Intel столкнулась с тем, что может удовлетворить сейчас лишь 50 % спроса на свои 14-нм кристаллы. Утверждается, что аутсорсинг стал единственным подходящим выходом в создавшейся для Intel сложной ситуации, поскольку компания вряд ли построит дополнительные 14-нм технологические мощности.

Стоит напомнить, что TSMC уже является контрактным производителем Intel: последняя печатает на Тайване однокристальные системы SoFIA, чипы FPGA, а также некоторые LTE-модемы для iPhone. Производители материнских плат ожидают, что к концу 2018 года дефицит с поставками 14-нм чипсетов Intel несколько ослабнет.

Рыночные наблюдатели полагают, что главная причина проблем с поставками 14-нм чипов Intel действительно заключается в переносе ещё на год массового 10-нм производства. Напомним: первоначально Intel планировала ввести массовое производство своих 10-нм процессоров Cannon Lake ещё в 2016 году. Согласно последним заявлениям Intel, её 10-нм нормы не будут готовы к коммерческому производству до четвёртого квартала 2019 года (а серверные 10-нм процессоры поступят в печать лишь в 2020 году).

Которые, видимо, будут только усиливаться до конца года. Дефицит обозначился после того, как Intel подтвердила производственные проблемы накануне запуска своего очередного семейства 14-нм чипов серии 9000: вполне вероятно, что в этом году выход новых процессоров компании снова останется во многом на бумаге, как и в прошлом.

В России цены подскочили весьма сильно, но даже в США, если судить по статистике pcpartpicker.com, многие из относительно недорогих процессоров Intel, таких как Core i5-8400, i5-8500, i5-8600 и i7-8700, теперь продаются намного выше рекомендуемой розничной цены (MSRP). На дорогих моделях дефицит, естественно, сказывается не так ощутимо.

Также, судя по статистике NowInStock.net, процессор Core i7-8700K за последний месяц пропадал и снова появлялся в рознице как в интернет-магазине Newegg, так и в Amazon. При этом Core i7-8700K по-прежнему является самым продаваемым процессором на площадке Amazon, несмотря даже на спорадический дефицит. Цены на него почти не растут, но при этом дорожают более доступные чипы. Похоже, Intel старается минимизировать свои потери, отдавая приоритет налаживанию производства и поставок более дорогих и прибыльных чипов. К сожалению, есть все основания считать, что это лишь ранние признаки более серьёзного дефицита.

Диаграммы продаж чипов Intel

Первые проблески проблемы появлялись ещё в мае. Системная логика Intel обычно выпускается на более старых технических нормах, то есть до недавнего времени корпорация печатала свои чипсеты на 22-нм мощностях. Но системная логика Intel 300-й серии начала выпускаться с соблюдением 14-нм норм, которые необходимы для удовлетворения новых стандартов питания, принятых в Калифорнии. Вскоре после этого несколько компаний сообщили, что чипсеты Intel серии H были в дефиците или просто недоступны из-за перегруженного 14-нм производства.

В июле Intel окончательно подтвердила проблемы с поставками 14-нм решений во время своей отчётной конференции: «Наша самая большая проблема во второй половине 2018 года — это удовлетворение повышенного спроса, и мы активно сотрудничаем с клиентами и нашими заводами, чтобы не ограничивать рост доходов наших клиентов» .

Компания привела в качестве причины проблем неожиданный рост спроса на сумму в $4,5 млрд, но очевидно есть и другие факторы вроде очередной задержки в освоении массового 10-нм производства. Планирование загрузки мощностей по печати полупроводниковых чипов — многолетний процесс, который включает в себя создание заводов и инструментов для массового производства. При этом Intel готовилась в этом году приступить к выпуску больших объёмов 10-нм кристаллов. В результате задержка усугубила проблемы с производством, вызвав ещё более сильный спрос на 14-нм решения.

Как 1 сентября тайваньский ресурс DigiTimes, председатель и исполнительный директор Acer Джейсон Чен (Jason Chen) подтвердил, что дефицит поставок 14-нм процессоров Intel уже влияет на цепочки поставок. А президент Compal Electronics Си Пи Вонг (CP Wong) добавил, что недостаточные объёмы поставок процессоров Intel могут оказать на индустрию более сильное влияние, чем торговая война между США и Китаем.

Intel известна тем, что во время нехватки запасов уделяет первостепенное внимание крупным заказчикам вроде OEM- и ODM-производителей. Если уже последние начали жаловаться на дефицит, то борьба Intel за удовлетворение приоритетных заказов может очень сильно обострить и без того нерадостную ситуацию на розничном рынке.

Недавно Intel вывела на рынок процессоры Whiskey Lake и Amber Lake. Как и в случае любых крупных запусков, для обеспечения первоначального спроса требовалось создать значительный объём запасов новых чипов. Вдобавок Intel наращивает производство своих 14-нм модемов XMM 7560 для смартфонов и планшетов Apple 2018 года: сообщается, что купертинцы полностью отказались от услуг Qualcomm в этой области. Новый контракт с Apple предусматривает поставки миллионов модемов для iPhone и является в настоящее время одним из главных приоритетов для фабрик Intel.

Большая часть спроса на 14-нм кристаллы также связана с бизнесом Intel по продаже чипов для центров обработки данных, который вырос на 27 % по сравнению с предыдущим кварталом. Компания поставляет платформу Purley и готовится к появлению в этом году чипов Xeon семейства Cascade Lake. Эти большие процессоры имеют до 28 ядер, что снижает количество годных кристаллов на отдельную пластину и загружает производственные мощности. Вдобавок текущая линейка продуктов Coffee Lake от Intel и будущие процессоры серии 9000 включают больше ядер, чем модели предыдущих поколений, дополнительно увеличивая спрос на кремниевые пластины.

Все эти факторы и дают основание считать, что дефицит 14-нм кристаллов может лишь усугубиться. А долгожданный запуск процессоров Core серии 9000 вполне может повторить ситуацию с Coffee Lake в прошлом году, когда огромный дефицит и высокие цены были нормой в течение нескольких первых месяцев после формального запуска.

Ресурс Tom’s Hardware обратился к Intel за комментариями о последних событиях и получил дежурный ответ: «Потребительский спрос продолжал усиливаться в течение года, стимулируя рост в каждом сегменте бизнеса Intel и повысив прогнозы по доходам компании в 2018 году на $4,5 млрд по сравнению с нашими ожиданиями в январе. Наши поставки позволят добиться заявленных объёмов годовых доходов, и мы тесно сотрудничаем с клиентами и фабриками, чтобы справиться с любым дополнительным потенциалом роста» .

Между тем поставки 12- и 14-нм чипов AMD от GlobalFoundries, по всей видимости, не испытывают проблем, и компания готовится к массовым поставкам в этом году 7-нм серверных CPU и GPU от TSMC. GlobalFoundries недавно отказалась от освоения собственных 7-нм мощностей, что может в конечном итоге создать проблемы для AMD, поскольку ей придётся конкурировать за заказы с такими гигантами, как Apple, Qualcomm и NVIDIA. Но краткосрочная перспектива производства чипов AMD выглядит неплохо накануне сезона повышенных рождественских продаж. В итоге финансовые показатели AMD в последнем квартале года, как и в 2017-м, могут оказаться высокими.

Все современные вычислительные технологии базируются на основе полупроводниковой электронной техники. Для ее производства используются кристаллы кремния – одного из самых распространенных минералов в составе нашей планеты. С момента ухода в прошлое громоздких ламповых систем и с развитием транзисторных технологий этот материал занял важное место в производстве вычислительной техники.

Центральные и графические процессоры, чипы памяти, различные контроллеры – все это производится на основе кремниевых кристаллов. Уже полвека основной принцип не меняется, совершенствуются только технологии создания чипов. Они становятся более тонкими и миниатюрными, энергоэффективными и производительными. Главным параметром, который при этом усовершенствуется, является техпроцесс.

Практически все современные чипы состоят из кристаллов кремния, которые обрабатываются методом литографии, с целью формирования отдельных транзисторов. Транзистор – ключевой элемент любой интегральной микросхемы. В зависимости от состояния электрического поля, он может передавать значение, эквивалентное логической единице (пропускает ток) или нулю (выступает изолятором). В чипах памяти с помощью комбинаций нулей и единиц (положений транзистора) записываются данные, а в процессорах – при переключении производятся вычисления.

В 14-нм технологии (по сравнению с 22-нм) сокращено количество барьеров, увеличена их высота, уменьшено расстояние между диэлектрическими ребрами

Технологический процесс – это процедура и порядок изготовления какой-либо продукции. В электронной промышленности, в общепринятом значении, это величина, которая указывает на разрешающую способность оборудования, применяемого при производстве чипов. От нее также напрямую зависит размер функциональных элементов, получаемых после обработки кремния (то есть, транзисторов). Чем чувствительнее и точнее оборудование используется для обработки кристаллов под заготовки процессоров – тем тоньше будет техпроцесс.

Что значит числовая величина техпроцесса

В современном полупроводниковом производстве наиболее распространена фотолитография – вытравливание элементов на кристалле, покрытом диэлектрической пленкой, с помощью воздействия света. Именно разрешающая способность оптического оборудования, излучающего свет для вытравливания, и является техпроцессом в общепринятом толковании этого слова. Это число указывает, насколько тонким может быть элемент на кристалле.

На что влияет техпроцесс

Техпроцесс напрямую сказывается на количестве активных элементов полупроводниковой микросхемы. Чем тоньше техпроцесс – тем больше транзисторов поместится на определенной площади кристалла. В первую очередь это значит увеличение количества продукции из одной заготовки. Во вторую – снижение потребления энергии: чем тоньше транзистор – тем меньше он расходует энергии. Как итог, при равном количестве и структуре размещения транзисторов (а значит, и увеличения производительности) процессор будет меньше расходовать энергию.

Минусом перехода на тонкий техпроцесс является удорожание оборудования. Новые промышленные агрегаты позволяют делать процессоры лучше и дешевле, но сами набирают в цене. Как следствие, лишь крупные корпорации могут вкладывать миллиарды долларов в новое оборудование. Даже такие известные компании, как AMD, Nvidia, Mediatek, Qualcomm или Apple самостоятельно процессоров не делают, доверяя это задание гигантам вроде TSMC.

Что дает уменьшение техпроцесса

При уменьшении технологического процесса производитель получает возможность поднять быстродействие, сохранив прежние размеры чипа. К примеру, переход с 32 нм на 22 нм позволил вдвое увеличить плотность транзисторов. Как следствие, на том же кристалле, что раньше, стало возможным размещение не 4, а уже 8 ядер процессора.

Для пользователей главное преимущество заключается в снижении энергопотребления. Чипы на более тонком техпроцессе требуют меньше энергии, выделяют меньше тепла. Благодаря этому можно упростить систему питания, уменьшить кулер, меньше внимания уделить обдуву компонентов.

Техпроцесс процессоров на смартфонах

Смартфоны требовательны к аппаратным ресурсам и быстро расходуют заряд аккумулятора. Поэтому, для замедления расхода разряда, разработчики процессоров для мобильных устройств стараются внедрять в производство самые новые техпроцессы. К примеру, некогда популярные двухъядерники MediaTek MT6577 производились по техпроцессу 40 нм, а Qualcomm Snapdragon 200 ранних серий изготавливались по 45-нанометровой технологии.

В 2013-2015 годах основным техпроцессом для чипов, используемых в смартфонах, стал 28 нм. MediaTek (вплоть до Helio X10 включительно), Qualcomm Snapdragon серий S4, 400, а также модели 600, 602, 610, 615, 616 и 617 – это все 28 нм. Он же использовался и при изготовлении Snapdragon 650, 652, 800, 801, 805. «Горячий» Snapdragon 810, что интересно, был выполнен по более тонкому техпроцессу 20 нм, но это ему не сильно помогло.

Apple в своем A7 (iPhone 5S) тоже обходилась 20-нанометровой технологией. В Apple A8 для шестого Айфона применили 20 нм, а в модели A9 (для 6s и SE) уже используется новый 16 нм технологический процесс. В 2013-2014 годах Intel делали свои Atom Z3xxx по 22-нанометровой технологии. С 2015 года в производство запустили чипы с 14 нм.

Следующим шагом в развитии процессоров для смартфонов является повсеместное освоение техпроцессов 14 и 16 нм, а дальше стоит ожидать 10 нм. Первыми экземплярами на нем могут стать Qualcomm Snapdragon 825, 828 и 830.

Долгие годы компания Intel шла впереди планеты всей по темпам внедрения передовых техпроцессов для выпуска сложных микросхем (читай - процессоров). На внедрении 14-нм техпроцесса она забуксовала. Проблемы с внедрением 14-нм техпроцесса усугубились тем, что рынок ПК перестал показывать положительную динамику. Вот уже несколько лет вместо ежегодного прироста мы наблюдаем сокращение объёмов продаж. Снижение выручки автоматически ведёт к сокращению финансирования разработок и модернизации производства, что вызывает у производителя неодолимое желание эксплуатировать уже созданное и не спешить с инновациями.

Отсутствие взрослой конкуренции также не способствует движению вперёд, за что мы можем сказать "спасибо" сами знаете кому. Всё вместе взятое на данном этапе подводит нас к тому, что 14-нм техпроцесс для Intel - это рабочая лошадка на года. Ожидаемый 10-нм техпроцесс и, в частности, процессоры Cannonlake не сделают погоды на рынке. Обжёгшись на непростом внедрении 14-нм технологических норм, компания Intel будет долго "дуть на воду" - медленно и ограниченно переходить на выпуск 10-нм решений. Мы огорчались, что Intel не смогла приступить к выпуску 10-нм процессоров в середине этого года, как предписывала стратегия "тик-так" и ранние планы компании. Теперь нам, похоже, придётся привыкать к мысли, что 10-нм CPU Intel не будет в 2017 году (что уже решено) и даже в 2018.

Японские источники со ссылкой на OEM-производителей поделились новостью , согласно которой Intel ведёт разработку ещё одних 14-нм процессоров. Как известно, в четвёртом квартале нынешнего года компания выведет на рынок второе поколение 14-нм процессоров Skylake - процессоры Kaby Lake (третье 14-нм после Broadwell). Процессоры Kaby Lake заменят Skylake во всех категориях продуктов. В четвёртом квартале 2017 года ожидается выход первых 10-нм процессоров компании - решений на архитектуре Cannonlake. Но эти процессоры, если верить свежим утечкам, массовыми решениями станут не скоро. Скорее всего это произойдёт не раньше 2019 года. Потому что в 2018 году на смену Kaby Lake обещают прийти 14-нм процессоры Coffee Lake.

Впервые о процессорах Coffee Lake мы услышали в апреле этого года по информации из профиля одного из сотрудников Intel в одной из социальных сетей для поиска вакансий. Тогда возникло предположение, что это имя одного из 10-нм или даже 7-нм процессоров Intel. Сегодня с некоторой долей уверенности можно сказать, что это будут очередные "оптимизированные" 14-нм процессоры компании.

Процессоры Coffee Lake будут находиться на рынке одновременно с 10-нм процессорами Cannonlake. Последние будут выпускаться для тонких ноутбуков и планшетоподобных систем в младшей конфигурации в сериях U и Y с TDP от 15 Вт до 4,5 Вт. Всё что выше - о U до H - будут процессоры на архитектуре Coffee Lake. Это массовые и производительные системы с числом ядер от двух до шести. Встроенное графическое ядро процессоров Coffee Lake также будет классом выше, чем у Cannonlake: GT3e вместо GT2 у Cannonlake. Данная информация заставляет представить, что 14-нм техпроцесс для Intel - это надолго. Впрочем, мы повторяемся. Как и Intel...







2024 © uzbek-seks.ru.